Ãëàâíàÿ    Ex Libris    Êíèãè    Æóðíàëû    Ñòàòüè    Ñåðèè    Êàòàëîã    Wanted    Çàãðóçêà    ÕóäËèò    Ñïðàâêà    Ïîèñê ïî èíäåêñàì    Ïîèñê    Ôîðóì   
blank
Àâòîðèçàöèÿ

       
blank
Ïîèñê ïî óêàçàòåëÿì

blank
blank
blank
Êðàñîòà
blank
Campbell S.A. — The Science and Engineering of Microelectronic Fabrication
Campbell S.A. — The Science and Engineering of Microelectronic Fabrication



Îáñóäèòå êíèãó íà íàó÷íîì ôîðóìå



Íàøëè îïå÷àòêó?
Âûäåëèòå åå ìûøêîé è íàæìèòå Ctrl+Enter


Íàçâàíèå: The Science and Engineering of Microelectronic Fabrication

Àâòîð: Campbell S.A.

Àííîòàöèÿ:

An introduction to microelectronic fabrication — Semiconductor substrates — Diffusion — Thermal oxidation — Ion implantation — Rapid thermal processing — Optical lithography — Photoresists — Nonoptical lithographic techniques — Vacuum science and plasmas — Etching — Physical deposition: evaporation and sputtering — Chemical vapor deposition — Epitaxial growth — Device isolation, contacts, and metallization — CMOS technologies — GaAs technologies — Silicon bipolar technologies — MEMS — Integrated circuit manufacturing — Appendix 1. Acronyms and common symbols — Appendix 2. Properties of selected semiconductor materials — Appendix 3. Physical constants — Appendix 4. Conversion factors — Appendix 5. Some properties of the error function — Appendix 6. F values — Appendix 7. SUPREM commands


ßçûê: en

Ðóáðèêà: Ôèçèêà/

Ñòàòóñ ïðåäìåòíîãî óêàçàòåëÿ: Ãîòîâ óêàçàòåëü ñ íîìåðàìè ñòðàíèö

ed2k: ed2k stats

Èçäàíèå: 2. A.

Ãîä èçäàíèÿ: 2001

Êîëè÷åñòâî ñòðàíèö: 616

Äîáàâëåíà â êàòàëîã: 20.01.2014

Îïåðàöèè: Ïîëîæèòü íà ïîëêó | Ñêîïèðîâàòü ññûëêó äëÿ ôîðóìà | Ñêîïèðîâàòü ID
blank
Ïðåäìåòíûé óêàçàòåëü
$XeF_{2}$ vapor etching      527
2-D growth      363
Accelerometer, MEMS      544
Acoustic waves      136
Actinic absorbance      195
Actuators, MEMS      546—551
Adatoms      387
Adiabatic heating      127—128
Advanced silicon vapor phase, epitaxial growth techniques      378—381
Aerogel      430
Agglomeration      18
Air bridges      479
Aligners, optical      153—154 165—172
Alloyed contacts      421—423
Alloys      304—305 317
Aluminum      317—318 419—421
Ambient, particle detection and      496
Analog bipolar technologies      507—508
Analysis of variance (Anova)      569—571
Anisotropic etching      269—272
Anisotropy      258—259
Annealing processes      138—140
Anode dark space      250
Anodic bonding      537
Antipov emitter      501
Antireflective coatings      177—178
Antisite defect      413
APCVD      337—339
Aqua regia      417
Arc lamps      131 159—160
Area defect      19
Areal image      153
Aromatic ring      184
Arrenhius function      16
Aspect ratio      301
Atomic flux divergence      426—427
Autodoping      365—366
Avalanche      490
Backstreaming      244
Bamboo effect      426
Bandgap narrowing      494
Barrier height      415—416
Barrier metals      318 349 421
BCF theory      387—389
Bias sputtering      315—316
BiCMOS      504—507
Bipolar junction transistors (BJT)      488—489
Bleaching      195
Blowers      242—244
Boats      90
Boron penetration      455
Borophosphosilicate glass (BPSG)      343
Boule      21 23 24 31
Boundary layers      333—336
Breakdown histogram      79
Bridging oxygen      76
Bridgman growth      27
Bridgman growth, horizontal      29
Bridgman growth, vertical      29—30
Buffered FET logic      474
Buoyancy driven recirculation cells      27
Buried channels      454
Buried collector      494—495
Buried dielectrics      118—120
C-V profiling      55
CAIBE      276—277
Cantilever beam deflection      524
Cantilever beam processing      539 544—545
Capacitive pressure sensor      519
Capping layer      139
Carboxylic acid      186
Chain scission      185
Channel implants      445
channeling      110—112
Charge to breakdown test      79—80
Charge transfer compounds      199
Charged vacancy      43
Chemical beam epitaxy      391—392
Chemical equilibrium      328—330
Chemical mechanical polishing      264—266
Chemical vapor deposition (CVD) materials, borophosphosilicate glass      343
Chemical vapor deposition (CVD) materials, copper      349—350
Chemical vapor deposition (CVD) materials, metals      347—350
Chemical vapor deposition (CVD) materials, phosphosilicate glass      327
Chemical vapor deposition (CVD) materials, polysilicon      341—342
Chemical vapor deposition (CVD) materials, tungsten      347—349
Chemical vapor deposition (CVD) systems, atmospheric      337—339
Chemical vapor deposition (CVD) systems, cold wall      341—342
Chemical vapor deposition (CVD) systems, high-density plasma      345
Chemical vapor deposition (CVD) systems, hot wall      336 339—341
Chemical vapor deposition (CVD) systems, low-pressure CVD      339—343
Chemical vapor deposition (CVD) systems, plasma enhanced      343—347
Chemical vapor deposition (CVD) systems, vertical chamber      340—341
Chemically amplified resists (CARs)      197
Chlorine plasmas      277—281
Chlorosilanes      341—343
Chromium doping      412
cleaning      356—359
Climb      18
Clustering factor      563
Collimated sputtering      314—315
Comer compensation      529
Complementary metal-oxide-semiconductor (CMOS), design      442
Complementary metal-oxide-semiconductor (CMOS), technologies      442—447
Compton effect      206—207
Computer-integrated manufacturing (CIM)      575—577
Concentration dependence diffusivities      42
Condensation nuclear counters (CNCs)      567
Conductance      239
Confounded variables      572
Contact aligners      165—166
Contacts, ohmic, alloyed      421—423 455
Contacts, ohmic, implanted      418—421
Contacts, resistance (FET)      450
Contacts, Schottky      414—418
Contrast enhancement layers (CELs)      198
Contrast, optical      187—189
control charts      see "Statistical process control"
Convection      127
Convection, natural      26 334—335
Coplanar waveguides      424—425 479—480
copper      432
Core doping      31
Coulomb scattering      104—105
Critical angle      110
Critical layers      564
Critical modulation transfer function (CMTF)      190—191
Crooke's dark space      250
Cross linking      185 227
Crucible-heating techniques      302—304
Cryopump      246
Crystal rate monitor      300
Crystals, defects in      15—21
Crystals, lattices in      13—15
Czochralski growth      21—28
Damage, radiation      228—231
Damage, reactive ion etching      281
Damascene process      265 431—432
Dark spaces      250—251
Deal triangle      81
Deal — Grove model      68—71 73
Deep trench      408—410
Defect selective etching      263—264
Defects, crystal      15—21
Defects, epitaxial growth      366—368
Defects, killing      561
Defects, oval      385
Degree of saturation      361
Dehydration bake      191
Delta doping      418
Denuded zone      21
Depth of focus      168
Design of Experiments (DOE)      572
Design rules      4 151
Developers      192
Diamond structure      16
Diamond-like carbon      429—430
Diazoquinones (DQ)      186
Diborane      366
Dichlorosilane      362
Dielectric isolation (DI)      411
Dielectrics, high permittivity      88—89
Dielectrics, rapid thermal processing      140—141
Diffraction      155—157
Diffusion      8
Diffusion in $SiO_{2}$      59—60
Diffusion, atomistic models of      41—45
Diffusion, furnaces      60—61 90—92
Diffusion, heavy doping effects      47—52
Diffusion, oxidation enhanced      44
Diffusion, predeposition      45
Diffusion, profiles      48—52
Diffusion, pump      245
Diffusion, transient enhanced      138—139
Dimethylzinc (DMZ)      375
Direct-coupled FET logic      474
Discharges DC glow      249—251
Discharges DC glow, RF      251—252
Dislocations      18 367
Dislocations, loops      25
Doping in epitaxial growth      365—366
Double diffused drain      457
DQN positive photoresist      186—187
Drain engineering      457—458
Drain-induced barrier lowering      461—462
Drive in diffusion      45—46
Dry developable resists      199—200
dry etching      see "Etching"
Dry oxidation      68 71
Dry pumps      245
Dry pumps, Fraunhofer      157
Dry pumps, Fresnel      156 166
Dry pumps, grating      158
E-beam evaporation      303—304
E-beam exposure      see "Photoresist"
Early effect      489—490
ECR plasma      253—254
EL2 sites      413
Electromigration      426
Electron beam lithography (EBL)      208—215
Electron beam lithography (EBL), resists      227—228
Electron beam MBE systems      390—391
Electron diffraction (RHEED)      384—385
Electronic stopping      106
Electrostatic scanning      103
Ellipsometry      78—79
Emissivity      134—135
End point detection      272—274
Enhancement/depletion technology      441—442
Epitaxial growth BCE theory      387—389
Epitaxial growth BCE theory, chemical beam      391—392
Epitaxial growth BCE theory, Deal model      360
Epitaxial growth BCE theory, defects      366—368
Epitaxial growth BCE theory, dopants      365—366
Epitaxial growth BCE theory, extended lateral overgrowth      369
Epitaxial growth BCE theory, halide transport GaAs vapor phase      369—370
Epitaxial growth BCE theory, heteroepitaxial      370—373
Epitaxial growth BCE theory, kink sites      388
Epitaxial growth BCE theory, metallorganic      373—378
Epitaxial growth BCE theory, molecular beam      381—386
Epitaxial growth BCE theory, selective      368—369
Equilibrium, chemical      328—330
Etching, anisotropic      269—270
Etching, damage      281
Etching, doping selective      263
Etching, HDP systems      282—283
Etching, plasma      266—274
Etching, reactive ion      277—281
Etching, wet      259—264
evaporation      295—301
Evaporation, deposition rates      297—299
Evaporation, electron beam      303—304
Evaporation, heating techniques      302—304
Evaporation, radiation damage      303—304
Evaporation, reactive      300
Evaporation, step coverage      301—302
Evaporation, sublimation and      296—297
Excimer laser sources      162—164
Exciplex lasers      162
Exposure      163 164 165
Extended lateral overgrowth (ELO)      369
Extrinsic breakdown      79
Extrinsic gettering      19
Factors      571—575
Fair's vacancy model      42
Faraday cup      103
Faraday dark space      250
Fast ramp furnace      92
Fault kernel      563
Faults, stacking      366—367
Feed gas ratio      362
Fick's laws      39—41
Field implant      444—445
Film stress, extrinsic      518
Film stress, intrinsic      518
Fixed charge      81
FLATS      32
Flexural rigidity      524
Float zone refining      30—31
Flow plug      332
Flow process      399
Fluorinated oxide      429
Fluorine to carbon ratio      271
Flux      40
Four point probe      52
Fourier transform infrared (FTIR) spectroscopy      368
Frank — Turnbull method      45 51
Fraunhofer diffraction      157
Freeman ion source      100
Frenkel defect      16
Fresnel diffraction      156—157
Full factorial experiments      570—571
Fused silica      76
GaAs on silicon      372
GaAs, anisotropic etching      280—281
GaAs, device isolation      412—414
GaAs, FET technologies      471—481
GaAs, implant activation in      139—140
GaAs, liftoff      283—285
GaAs, MESFET      471—473
GaAs, MOCVD      373—378
GaAs, Schottky diodes      417
GaAs, vapor phase epitaxy      369—370
Gas ballast      242
Gas immersion laser doping      462
Gas source MBE      391
Gas throughput      239
Gas-flow dynamics      331—336
Gate materials for MESFETs      475—476
Gate materials for MOSFETS      454—455
Gate oxidation      88—92
Gettering      19
Glide      18
Glow discharge      249—251
Gold air bridges      479
Grain boundary      19
Grain boundary, stuffing      142
Gross fail area      562
Hall effect      54 520
Halo implants      464
1 2 3
blank
Ðåêëàìà
blank
blank
HR
@Mail.ru
       © Ýëåêòðîííàÿ áèáëèîòåêà ïîïå÷èòåëüñêîãî ñîâåòà ìåõìàòà ÌÃÓ, 2004-2024
Ýëåêòðîííàÿ áèáëèîòåêà ìåõìàòà ÌÃÓ | Valid HTML 4.01! | Valid CSS! Î ïðîåêòå