Ãëàâíàÿ    Ex Libris    Êíèãè    Æóðíàëû    Ñòàòüè    Ñåðèè    Êàòàëîã    Wanted    Çàãðóçêà    ÕóäËèò    Ñïðàâêà    Ïîèñê ïî èíäåêñàì    Ïîèñê    Ôîðóì   
blank
Àâòîðèçàöèÿ

       
blank
Ïîèñê ïî óêàçàòåëÿì

blank
blank
blank
Êðàñîòà
blank
Campbell S.A. — The Science and Engineering of Microelectronic Fabrication
Campbell S.A. — The Science and Engineering of Microelectronic Fabrication



Îáñóäèòå êíèãó íà íàó÷íîì ôîðóìå



Íàøëè îïå÷àòêó?
Âûäåëèòå åå ìûøêîé è íàæìèòå Ctrl+Enter


Íàçâàíèå: The Science and Engineering of Microelectronic Fabrication

Àâòîð: Campbell S.A.

Àííîòàöèÿ:

An introduction to microelectronic fabrication — Semiconductor substrates — Diffusion — Thermal oxidation — Ion implantation — Rapid thermal processing — Optical lithography — Photoresists — Nonoptical lithographic techniques — Vacuum science and plasmas — Etching — Physical deposition: evaporation and sputtering — Chemical vapor deposition — Epitaxial growth — Device isolation, contacts, and metallization — CMOS technologies — GaAs technologies — Silicon bipolar technologies — MEMS — Integrated circuit manufacturing — Appendix 1. Acronyms and common symbols — Appendix 2. Properties of selected semiconductor materials — Appendix 3. Physical constants — Appendix 4. Conversion factors — Appendix 5. Some properties of the error function — Appendix 6. F values — Appendix 7. SUPREM commands


ßçûê: en

Ðóáðèêà: Ôèçèêà/

Ñòàòóñ ïðåäìåòíîãî óêàçàòåëÿ: Ãîòîâ óêàçàòåëü ñ íîìåðàìè ñòðàíèö

ed2k: ed2k stats

Èçäàíèå: 2. A.

Ãîä èçäàíèÿ: 2001

Êîëè÷åñòâî ñòðàíèö: 616

Äîáàâëåíà â êàòàëîã: 20.01.2014

Îïåðàöèè: Ïîëîæèòü íà ïîëêó | Ñêîïèðîâàòü ññûëêó äëÿ ôîðóìà | Ñêîïèðîâàòü ID
blank
Ïðåäìåòíûé óêàçàòåëü
Halogen lamps      130—131
Hard contact      165
Hardbake      193
HARMST      551—553
Henry's law      70
Heteroepitaxy      370—373
Heterojunction bipolar transistor      503—504
Hexamethyldisilazane (HMDS)      191
High permittivity gate insulators      89
High-aspect ratio microsystems      see "HARMST"
High-pressure plasma etching      267—273
Homoepitaxy      360—363
Homogeneous nucleation      346
Homogeneous process      327
Hookes law      516
Hot carrier      455—458
Hot carrier injection, in BJTs      504
Hot metal sputtering      313
Hotwall batch CVD reactor      336
Huygen's principle      155
Hybrid pi model      491
Hydrofluoric acid (HF)      77 260—262
Hydrogen chloride (HCl)      73
Hydrogen termination      359
Immediately Dangerous to Life and Health (IDLH)      374
Implant straggle, of implanted impurities      106 108
Implanted ohmic contacts      418—421
Impurity activation      112—114
Incommensurate heteropitaxy      370—373
Initial growth regime      75—76
Integrated circuit yield      559—560
Intensity      155
Interface state      82—83
Interference      78—79
Interlayer dielectric      429—430
Intermetallics      12
Interstitial      16
Interstitial effects      44
Interstitialcy diffusion      44—45
Intrinsic breakdown      79
Intrinsic carrier concentration      42—43
Intrinsic defect      16
Intrinsic gettering      19
Ion, implantation      98
Ion, milling      274—277
Ionized metal plasma      314—315
Isolation, guard rings      403—404
Isolation, junction      401—403
Isolation, LOCOS methods      404—406
Isolation, semi-insulating substrates      412—414
Isolation, silicon on insulator      411—412
Isolation, trench      407—411
Isothermal heating      128
Junction depth      47
Junction isolation      401—403
Kaufman source      274—275
Kickout-diffusion mechanism      44—45
Killing defect      561
Kinetic theory      236—239
Kinetically controlled processes      330
Kink sites      387
Kirk effect      490
Knudsen cell      383
Kohler projection lithography system      167
Kooi nitride effect      406
Kumakhov lens      221
kurtosis      109
Lamps, UV      159—161
Lanthinum hexaboride      209
Laser scanners      566
Latchup      459—446
Lateral etching      see "Etching"
Lattices, crystal      16 41—42
Law of mass action      328—331
Learning Curve      560
Lenses, x-ray      221
Liftoff      283—285
LIGA      551
light emitting diodes      482—484
Lightly doped drain (LDD)      457
Linear rate coefficient      71
Linkup region      500
Liquid encapsulated Czochralski growth (LEC)      27—28
Liquid sources      60—61 374—375
Liquidus curve      11
Lithography      see "Optical lithography"
Load locks      355
Loading effect      272
Local interconnect      425
LOCOS      404—407
LOCOS, poly buffered      406—407
LSS (Lindhard, Scharff, and Schintt) tables      107
Magnetic CZ      25
Magnetron sputtering      310—312
Masks, optical      151—153
Masks, optical proximity correction      172—176
Masks, stencils      212
Masks, x-ray      221—224
Mass action law      328—330
Mass transport Coefficient      69—70
Mean free path      237—238
Mechanical to electrical signal transduction      518—523
Mechanics of Materials      515
Meissner trap      318
Membrane deflection      523
MEMS      514—557
MEMS mechanics      523—526
Mercury-arc lamps      see "Lamps UV"
Mesa isolation      413
Metal CVD      347—350
Metal-semiconductor field effect transistors (MESFETs)      417 471—472
Metallorganic CVD (MOCVD)      373—378
Metallorganic CVD (MOCVD), carbon contamination in      377—378
Microelectromechanical systems      see "MEMS"
Microloading      282
Micromachining, bulk      527—540
Micromachining, surface      540—546
Microstrip line      424—425
Miller indices      14—15
Millimeter microwave ICs (MMICs)      425
Milling, ion      274—277
Misregistration      178—179
Mix-and-match lithography      154
Mobile ionic charge      81—82
MODFETs      480—482
Modulation transfer function      158
molecular beam epitaxy (MBE)      370 381—386
Molecular implant      117
Monolithic microwave IC (MMIC)      478—480
Monomers      185
Morphology      312—313
MOS Technology      442—447
Mosaic      477
MOSFET      439—441
Multicomponent films      304—305
Multilevel metallization      423—428
Multizone heating      132—133
Nanospec      78—79
Natural convection      26 334—336
Negative binomial      563
Negative resists      see "Photoresist"
Network formers      77
Neutron transmutation doping      31
Next-generation lithography      205
Nitrided oxides      88—89
Novolac compounds      see "Photoresist"
Nuclear stopping      106—107
Numerical aperture      167
Ohmic contacts, alloyed      421—423
Ohmic contacts, implanted      418—421
Optical absorption coefficient      189—190 207
Optical inspection systems      565—566
Optical integrator      161
optical lithography      6 149 151—179
Optical proximity correction      175—176
Optical pyrometry      133—134
Optical sources      130—132
Orthogonality      572—573
Oval defect      385
Oxidation, Deal — Grove model      68—71 73
Oxidation, doping effects      83—86
Oxidation, dry      68 71
Oxidation, furnaces      90—92
Oxidation, induced stacking faults      86—88
Oxidation, initial      75—76
Oxidation, rapid thermal      140—141
Oxidation, thermal      37 68
Oxide isolation      494—495
Oxide trench and refill process      407—411
packaging      6
Pair diffusion model      51
Palladium diffusion      358—359
Parabolic rate coefficient      71
Particle control      565—567
Paschen's law      306
Pattern shift      367—368
Pearson type IV distribution      109
PECVD systems      343—347
Pellicles      172—174
Penumbral blur      220—221
phase diagram      10—13
Phase shifting      174—175
Phosphosilicate glasses (PSG)      337
Photoacid generator      197
Photoactive compound      183 186—187
photoelectric effect      206
Photomask      151—153 see
photoresist      153 183—184
Photoresist, components      183—184
Photoresist, e-beam      227—228
Photoresist, inorganic      199
Photoresist, silicon containing      199
Photoresist, tracks      194
Photoresist, types      183
Photoresist, x-ray      227—228
Piezoelectric effect      518
Piezoresistance coefficients      521
Piezoresistivity      520
Pill doping      31
Pinchoff voltage      472
Pipes      87
Planar magnetron target      310—311
Planarization      264—266
Planetary      299
plasma      118 249—255
Plasma, DC      249—251
Plasma, ECR      253—254
Plasma, immersion doping      118
Plasma, inductively coupled      254—255
Plasma, RF      251—252
Plug contacts      427—428
Plug flow      332
Point defect      16 561
Poisson's ratio      517
Poly emitters      495—497
Poly-buffered LOCOS      406—407
Polycrystalline silicon      339
Polyimide      430
Polymerization      269—272
Polymers      185
Polymethyl methacrylate (PMMA)      197—198 227—228
Polysilicon oxidation      85—86
Polysilicon, low stress      540
Power dissipation, CMOS      442
Preamorphization      110—112
Precipitate      19
Predeposition diffusion      45
Pressure, kinetic theory      236—239
Pressure, measurement      248—249
Primary defects      112
Process variance      569
Projected range of electrons      207—208
Projected range of implanted impurities      105—108
Projection printing      167—172
Proton implantation      413
Proximity effects      212
Proximity printing      165—166
Pseudomorphic growth      371
Pumping speed      240
Pumps, vacuum      240—247
Punchthrough      443
Pyrometry      133—134
Quenching      13
Radiation      128
Radiation damage      228—230
Radicals      249
Rails      266
RANGE      105
Rapid thermal activation      138—140
Rapid thermal annealers      132—134
Rapid thermal oxidation      140—141
Rapid thermal processing      127
Rapid thermal processing, temperature measurement      133—136
Rapid thermal silicide formation      141—142
Rapid thermal-chemical vapor deposition (RTCVD)      370 379
Raster scanning      211
Ray tracing      155
Rayleigh's criteria      167
RCA clean      356—357
Reachthrough      457
Reaction rate limited      336—337
Reactive ion etching (RIE)      277—281
Real-time adaptive control      568
Recirculation      335—336
Reflecting cavity      131—132
Refractive index      343
Registration      154
Resist processing      see "Photoresist"
Resist scumming      260
Resists      see "Photoresist"
Resolution      154 184
Reynold's number      332
RHEED      384—385
Rim phase shifting      175
Rotary vane pump      241—242
Rutherford backscattering spectroscopy (RBS)      58
S-chart      569
S-gun      311
Sacrificial layer      540—542
SAGFETs      475
SAINT process      475—476
Salicide process      450
Saturation      361
Scaling, BJT      493
Scaling, MOS      447 448
Scalpel      225
Scanning capacitance microscopy (SGM)      55—56
Scavenging      269
Schottky contacts      414—418
SCRATCH      577
Screening experiments      572
Secondary defects      112
Secondary ion mass spectroscopy (SIMS)      57—59
Seebeck effect      133
Segregation coefficient      25 83—84
Selective epitaxial growth (SEG)      368—369
Selective etch      258—268
Selective thermalization      317
Self-aligned bipolar technology (SA)      499—500
Self-aligned silicide      450—451
1 2 3
blank
Ðåêëàìà
blank
blank
HR
@Mail.ru
       © Ýëåêòðîííàÿ áèáëèîòåêà ïîïå÷èòåëüñêîãî ñîâåòà ìåõìàòà ÌÃÓ, 2004-2024
Ýëåêòðîííàÿ áèáëèîòåêà ìåõìàòà ÌÃÓ | Valid HTML 4.01! | Valid CSS! Î ïðîåêòå