Ãëàâíàÿ    Ex Libris    Êíèãè    Æóðíàëû    Ñòàòüè    Ñåðèè    Êàòàëîã    Wanted    Çàãðóçêà    ÕóäËèò    Ñïðàâêà    Ïîèñê ïî èíäåêñàì    Ïîèñê    Ôîðóì   
blank
Àâòîðèçàöèÿ

       
blank
Ïîèñê ïî óêàçàòåëÿì

blank
blank
blank
Êðàñîòà
blank
Plummer J.D., Deal M.D., Griffin P.B. — Silicon VLSI Technology: Fundamentals, Practice, and Modeling
Plummer J.D., Deal M.D., Griffin P.B. — Silicon VLSI Technology: Fundamentals, Practice, and Modeling



Îáñóäèòå êíèãó íà íàó÷íîì ôîðóìå



Íàøëè îïå÷àòêó?
Âûäåëèòå åå ìûøêîé è íàæìèòå Ctrl+Enter


Íàçâàíèå: Silicon VLSI Technology: Fundamentals, Practice, and Modeling

Àâòîðû: Plummer J.D., Deal M.D., Griffin P.B.

Àííîòàöèÿ:

Unique in approach, this book provides an integrated view of silicon technology — with an emphasis on modern computer simulation. It describes not only the manufacturing practice associated with the technologies used in silicon chip fabrication, but also the underlying scientific basis for those technologies. Modern CMOS Technology. Crystal Growth, Wafer Fabrication and Basic Properties of Silicon Wafers. Semiconductor Manufacturing — Clean Rooms, Wafer Cleaning and Gettering. Lithography. Thermal Oxidation and the Si/SiO2 Interface. Dopant Diffusion. Ion Implantation. Thin Film Diffusion. Etching. Backend Technology. For anyone interested in Fabrication Processes.


ßçûê: en

Ðóáðèêà: Computer science/

Ñòàòóñ ïðåäìåòíîãî óêàçàòåëÿ: Ãîòîâ óêàçàòåëü ñ íîìåðàìè ñòðàíèö

ed2k: ed2k stats

Èçäàíèå: US edition

Ãîä èçäàíèÿ: 2000

Êîëè÷åñòâî ñòðàíèö: 817

Äîáàâëåíà â êàòàëîã: 27.10.2010

Îïåðàöèè: Ïîëîæèòü íà ïîëêó | Ñêîïèðîâàòü ññûëêó äëÿ ôîðóìà | Ñêîïèðîâàòü ID
blank
Ïðåäìåòíûé óêàçàòåëü
Lithography, photoresists, i—line resists      223 224
Lithography, placement accuracy      201
Lithography, projection printing      209
Lithography, projection systems      212—218
Lithography, proximity printing      208 209
Lithography, ray tracing      209—212
Lithography, resolution requirements      201
Lithography, technologics/models, advanced mask engineering      277 278
Lithography, technologics/models, electron beam lithography      273—275
Lithography, technologics/models, limits and future trends in      272—281
Lithography, technologics/models, new resists      278—281
Lithography, technologics/models, X—ray lithography      275—277
Lithography, wafer exposure systems      208—209 234—241 247—253
Loading effect in etching      641
Local electronic stopping      474 475
Local interconnects      75 82—84 681 699—702
Local Oxidation of Silicon      see also "LOCOS process" 561 562
Local planarization      710
Localized light scatterers (LLS)      153
LOCOS process      53 289 291 292 333 348 349 561 562
LOCOS process, poly-buffered      55 56
LOCOS regions      54—59
LOCOS regions, field implants under      63—65
Long throw colliniaton      553
Long throw sputtering      553
Lot tracking      108
Low K deposited dielectrics      290
Low temperature oxide (LTO)      563
Low-Frequency CV curve      306
Low-Pressure Chemical Vapor Deposition (LPCVD)      512 525—527 582 583
Low-Pressure Chemical Vapor Deposition (LPCVD), systems      54 78 289 582 583
LSS range theory      470
Mack's model      267—269
Macroscopic loading      641
Magnetic CZ ingots      144
Magnetron sputter deposition      551
Mask count      3
Mask engineering      230—234
Mask engineering, advanced      271 272 277 278
Mask engineering, Optical Proximity Correction (OPC)      230—232
Mask engineering, Phase Shift Masks (PSMs)      230 232—234
Mask inspection      204
Mask penetration      455
Mask transmittance      248
Masking      54
Mass action, law of      21
MEMS (Micro—Electro—Mechanical Systems)      675
Metal atoms, basic properties of      163 164
Metal Oxide Semiconductor (MOS) transistors      4
Metal, diffusivities in Si      163
Metal, mechanical properties (table)      697
Metal, resistivities (table)      688
Metal, vapor pressures      536
Metallurgical Grade Silicon (MGS)      101 102
Micralign      234
Microdiffraction stress analysis      736
Microscopic or micro-loading      641
Microtrenching      635
Microwave heating      240
Miller indices      95
Mixed ambient growth kinetics      332 333
Mobile oxide charge      295
Modern scientific discovery      43—45
Modern silicon integrated circuit, schematic cross section f      12 13
Modulation transfer function (MTF)      215—218
molecular beam epitaxy (MBE)      468 558
Molecular Dynamics (MD) calculations      602
Molecular flow      536
Monte Carlo calculations      602
Monte Carlo simulations      471
Monte Carlo simulator      475 489 490 654
Moore's law      1
MOS (Metal Oxide Semiconductor)      36—39
MOS Capacitance-Voltage measurements (MOS CV)      177
MOS capacitor      301—312
MOS gate oxide      72 73
Multilevel metal formation      84—90 702 703
N-type dopants      17
National Bureau of Standards      244
National Technologv Roadmap for Semiconductors (NTRS)      2—4 44 686
Native point defects      97 98
Near field diffraction      212 219—221 247
Nerst—Einsiein relationship      172
Neutron Transmutation Doping (NTD)      131
Nitride growth kinetics      347
nMOS technology      41 42
Non-ideal cosine emission      535
Nonlocal electronic stopping      473 474
Nuclear stopping      471—473
Nucleation surface      102
Numerical aperture (NA)      213
Numerical solutions of diffusion equations      403
Off-axis illumination      236 237
Ohmic contacts      688—692
One-dimensional defects in crystals      98—99
Open circuit voltage decay method      178
Optical intensity pattern in the photoresist      255—259
optical lithography      202 203
Optical path difference (OPD)      252 253
Optical proximity correction (OPC)      230—232 271
Overall chip size      1
Overetch      614
Oxidation      184 287—370
Oxidation enhanced diffusion (OED)      339 422
Oxidation furnaces      297 298
Oxidation Induced Stacking Faults (OISF)      100
Oxidation of silicon nitride      347
Oxidation Retarded Diffusion (ORD)      339 422
Oxidation, basic concepts      290—296
Oxidation, growth kinetics, $Si_3N_4$ growth and oxidation kinetics      347—350
Oxidation, growth kinetics, 2D $SiO_2$      333—339
Oxidation, growth kinetics, dependence on crystal orientation      329—332
Oxidation, growth kinetics, dependence on pressure      328 329
Oxidation, growth kinetics, mixed ambient      332 333
Oxidation, growth kinetics, models/simulation, advanced point detect based models for oxidation      39—43
Oxidation, growth kinetics, polysilicon oxidation      345—347
Oxidation, growth kinetics, substrate doping effects      343—345
Oxidation, historical development      290—296
Oxidation, manufacturing methods/equipment      296—298
Oxidation, measurement methods      298—312
Oxidation, measurement methods, electrical measurements      301—312
Oxidation, measurement methods, optical measurements      299—301
Oxidation, measurement methods, physical measurements      299
Oxidation, models/simulation      312—359
Oxidation, models/simulation, $Si/SiO_2$ interface changes      352—357
Oxidation, models/simulation, complete oxidation module simulation      357—359
Oxidation, models/simulation, linear paraholic model      313—322
Oxidation, models/simulation, models for planar oxidation kinetics      322—326
Oxidation, models/simulation, silicide oxidation      350—352
Oxidation, models/simulation, technologies/models, limits and future trends in      359—361
Oxide trapped charge      295
Oxygen in silicon      138—142
Oxygen in silicon, diffusivity      140
Oxygen in silicon, oxygen atoms      139
Oxygen in silicon, oxygen donors, formation of      139
Oxygen in silicon, precipitation      139 140
Parallel oxidation model      323
Particle detection      157 169 181
passivation      10 650 725
Passive barriers      693
Pauli exclusion principle      23
Pearson’s distribution      460
Pellicle      204
Periodic table, portion relevant to semiconductor materials/doping      15
Perkin—Elmer Corp      234
Phase Shift Masks (PSMs)      230 232—234
Phosphine      561
Phosphorus      62 72—74 344 415
Phosphorus, implant      62 63
Phosphosilicate glass (PSG)      85 162 709
Photo-Acid Generator (PAG)      222 223
Photoconductive decay      177
photolithography      11 12
Photolithography, ensuring resist adhesion      238 239
Photolithography, postbake      241
Photolithography, postexposure bake (PEB)      240 241 264—266
Photolithography, prebake      240
Photolithography, spinning the resist onto the wafer      239 240
photoresist      54 61—63 71 84 154 221—230 238—241
Photoresist developing      267—270
Photoresist exposure      259—264
Photoresist exposure, DUV resists      264
Photoresist exposure, g—line/i—line DNQ resists      259—263
Photoresist postbake      270 271
Photoresist, basic properties/charactcrization of      227—230
Photoresist, Deep UV (DUV) resists      225 226
Photoresist, g—line resists      223 224
Photoresist, i—line resists      223 224
Physical etching, in plasma systems      625 626
Physical measurements, and characterization of silicon wafers      117
Physical vapor deposition (PVD)      511 512 522 530—554
Physical Vapor Deposition (PVD), evaporation      511 531—539
Physical Vapor Deposition (PVD), sputter deposition      511 539—554
Physical Vapor Deposition (PVD), sputter deposition, bias-sputter deposition      549—551
Physical Vapor Deposition (PVD), sputter deposition, collimated sputter deposition      551—554
Physical Vapor Deposition (PVD), sputter deposition, DC sputter deposition      539—546
Physical Vapor Deposition (PVD), sputter deposition, high-temperature deposition      554
Physical Vapor Deposition (PVD), sputter deposition, ionized sputter deposition      551—554
Physical Vapor Deposition (PVD), sputter deposition, magnetron sputter deposition      551
Physical Vapor Deposition (PVD), sputter deposition, reactive sputter deposition      547
Physical Vapor Deposition (PVD), sputter deposition, RF sputter deposition      547—549
Placement accuracy, lithography      201
Planar process, and integrated circuits      7
Planar process, invention of      9 10
Planarization      85 710—714
Planarization, etehback      711
Planarization, global      710
Planarization, local      710
Planck's law      207
plasma      529 539 619
Plasma density      621 638
Plasma etching      76 619—637
Plasma potential      541
Plasma sheath      541 620
Plasma-enhanced chemical vapor deposition (PECVD)      512 527—530 584—587
Plasma-Enhanced Chemical Vapor Deposition (PECVD), coverage/filling      529 530
Plasma-Enhanced Chemical Vapor Deposition (PECVD), reactions/processes in PECVD systems      529
Plasma-Enhanced Chemical Vapor Deposition (PECVD), typical equipment configuration      528 529
PMMA      279—281
pMOS technology      41—42
PN diodes      33—35
Point defects      97 98 131—138 417 442
Point defects, charged      134
Point defects, chemical pumping of      427 428
Point defects, diffusivilies      133
Point defects, OED, ORD      339—343 422—426
Poly-buffered LOCOS process      55 56
Polycrystalline      13
Polycrystalline, diffusion      762—765
Polycrystalline, materials      93 94
Polycrystalline, semiconductor materials      13
Polygranular clusters      768
Polysilicon      75 558—561
Polysilicon, deposition      558—561
Polysilicon, doping      561
Polysilicon, oxidation      345—347
Polysilicon, plasma etching      647—649
Polysilicon, resistivity      561
Postbake      241
Postexposure bake (PEB)      240 241 264—266
Postexposure bake (PEB), DUV resists      266
Postexposure bake (PEB), g—line/i—line DNQ resists      264 265
Prebake      240
Predeposition, preferred method for      374 375
Printing, contact      208
Printing, projection      209
Printing, proximity      208 209
Projection aligners      234
Projection printing      209
PROLITH (Finle Technologies)      247
proximity effect      274
Proximity printing      208 209
PSG (phosphosilicate glass)      85 86 162 709
Pure silicon, and band model      19
PVD      see "Physical Vapor Deposition (PVD)"
P—type dopants      17 20 24
QCM (Quartz—Crystal Microbalance)      572
Quartz      109 110
Radiation damage, and sputter etching      635
Rapid Thermal Annealing (RTA)      99 393—395
Rapid Thermal Oxidation (RTO)      99 298
Rapid Thermal Processing (RTP)      99
Ray tracing      209—212
RBS (Rutherford Backscattcring Spectrometry)      133 175 483 732
RCA dean      156 157 160 184 193 194
Reactive Ion Beam Etching (RIBE)      636
Reactive Ion Etching (RIBE)      280 631 632
Reactive sputter deposition      547
Redeposited flux, topography models      579
Reemission      514
Reflow      86 709 718 746—753
Refractory metal compounds, as barrier layers      695
Refractory metal contact—adhesion layers      694
Refractory metal silicides, as barrier layers      694
Reliability of interconnects      730—732 767
resistivity      18 372 688
Resolution requirements, lithography      201
Reverse Short—Channel Effect (RSCE)      499
RF sputter deposition      547—549
RIE      see "Rapid Thermal Annealing (RTA)"
RTO      see "Rapid Thermal Oxidation (RTO)"
RTP      see "Rapid Thermal Processing (RTP)"
Rutherford Backscattcring Spectrometrv (RBS)      133 175 483 732
Sacrificial barriers      693
Salicide      568 700
Sample      573
Saturation/adsorption model for ion—enhanced etching      663—669
Saucer pits      118
SCALPEL system      274
Scanning capacitance microscope (SCM)      400 401
Scanning electron microscope (SEM)      170 173 651
Scanning systems      235—237
Scanning Tunneling Microscope (STM)      400
SCM      see "Scanning capacitance microscope (SCM)"
Scotch tape method for adhesion      737
Secondary defects      481
Secondary grain growth      761
Secondary ion mass spectrometry (SIMS)      117 175 176 396 397 733
Segregation      125 344 413—415
Segregation and float-zone process      129
Selective Si deposition      557
Selective W deposition      567
Selectivity in etching      609—611 613
Self-aligned silicide      568 770
SEM      see "Scanning Electron Microscope (SEM)"
SEM (Scanning Electron Microscope)      120
Semiconductor devices      33—41
Semiconductor devices, bipolar junction transistors (BJTs)      39—41
Semiconductor devices, MOS transistors      36—39
Semiconductor devices, PN diodes      33—35
Semiconductor Industry Association (SIA)      2
Semiconductor manufacturing      151—199
Semiconductor manufacturing, basic concepts      154—164
Semiconductor manufacturing, clean factories      157—159 165 169—173 181—184
Semiconductor manufacturing, gettering      161—164 167—169 176—180
Semiconductor manufacturing, historical development      154—164
Semiconductor manufacturing, measurement methods      169—180
Semiconductor manufacturing, measurement methods, clean factories      169—173
Semiconductor manufacturing, measurement methods, gettering      176—180
Semiconductor manufacturing, measurement methods, wafer cleaning      173—176
1 2 3 4
blank
Ðåêëàìà
blank
blank
HR
@Mail.ru
       © Ýëåêòðîííàÿ áèáëèîòåêà ïîïå÷èòåëüñêîãî ñîâåòà ìåõìàòà ÌÃÓ, 2004-2024
Ýëåêòðîííàÿ áèáëèîòåêà ìåõìàòà ÌÃÓ | Valid HTML 4.01! | Valid CSS! Î ïðîåêòå